論理回路設計環境の設定
をテンプレートにして作成
[
トップ
] [
新規
|
一覧
|
単語検索
|
最終更新
|
ヘルプ
|
ログイン
]
開始行:
[[論理回路設計]]
*論理回路設計環境の設定 [#r2a07ebe]
論理回路設計に必要なシミュレータや波形ビューワの設定。~
会津大学ワークステーション環境の設定方法を載せています。
----
#contents
**Verilog-HDLシミュレータ [#s3676c05]
Verilog-HDLシミュレータは、Verilog-HDLで記述した回路の動作をシミュレーションするのに使用します。
***Icarus Verilog [#za0e1eda]
Icarus VerilogはフリーのVerilog-HDLシミュレータで、WindowsマシンやLinuxマシンに簡単に導入することができます。~
会津大学のワークステーションや共有マシンでも使用できます。
-設定方法
パスを通します。~/.cshrcに以下を追加しましょう。
set plathome=`/usr/bin/arch`
switch( $plathome )
case i86pc:
set path=(/home/course/comparch/verilog0.9.3x86/bin $path)
breaksw
case sun4:
set path=(/home/course/comparch/verilog0.9.3sparc/bin $path)
breaksw
endsw
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法~
Icarus Verilogは、Verilog-HDLで記述されたファイル名を引数として渡すことによって、シミュレーション用バイナリを生成し、vvpで実行できます。~
実行例:
% iverilog testbench.v
% vvp a.out
構文エラーなどがあった場合は、エラーがある行数やエラーの内容が表示されます。~
エラーが出ていたら、エラーが出なくなるまで回路を修正しましょう。
-注意事項~
Icarus Verilogにはいくつかのバグが存在します。~
場合によっては正常にシミュレーションできないことがあることが確認されています。
***NC-Verilog [#w627ee24]
NC-VerilogはCadence社が開発したVerilog-HDLシミュレータで、会津大学のワークステーション上で使用できます。
-設定方法~
/home/course/comporg/binにパスを通します。~/.cshrcに以下を追加しましょう。
set path = ($path /home/course/comporg/bin)
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法~
NC-Verilogは、Verilog-HDLで記述されたファイル名を引数として渡すことによって、シミュレーションを実行します。~
実行例:
% ncverilog +ncaccess+rwc testbench.v
構文エラーなどがあった場合は、エラーがある行数やエラーの内容が表示されます。~
エラーが出ていたら、エラーが出なくなるまで回路を修正しましょう。~
オプションの+ncaccess+rwcは必ず指定するようにしてください。
**波形ビューワ [#ubbe1d61]
波形ビューワはシミューレション後に生成されるVCDなどの波形ファイルを表示するツールです。
***GTKWave [#w97ba8dc]
GTKWaveはフリーの波形ビューワで、WindowsマシンやLinuxマシンに簡単に導入することができます。~
会津大学のワークステーションや共有マシンでも使用できます。
-設定方法~
/home/course/comparch/binにパスを通します。~/.cshrcに以下を追加しましょう。
set path = ($path /home/course/comparch/bin)
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法
以下のコマンドで起動できます。
% gtkwave test.vcd &
起動画面は以下のようになります。~
~
CENTER:&ref(gtkwave_1.png);~
~
信号を表示するためには、左のウィンドウからモジュールや信号名を選択し、Appendをクリックしてください。~
~
CENTER:&ref(gtkwave_2.png);
***SimVision [#b7367d32]
SimVisionはCadence社が開発した波形ビューワで、会津大学のワークステーション上で使用できます。
-設定方法~
/home/course/comporg/binにパスを通します。~/.cshrcに以下を追加しましょう。
set path = ($path /home/course/comporg/bin)
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法~
以下のコマンドで起動できます。
% simvision &
波形ファイルであるVCDファイルを開くためには以下の手順に従ってください。
++"File -> Open Database"を選択する。~
~
CENTER:&ref(sim-02.gif);~
~
++"Open -> Database" を選択し、Files of typeをVCD Filesにして、シミュレーションで生成されたVCDファイルをOpenする。~
~
CENTER:&ref(sim-03.gif);~
~
++確認したい信号名を選んでから右上の波形マークをクリックし、波形を確認する。~
~
CENTER:&ref(sim-04.gif);~
~
以下のようにVCDファイルを引数に指定して起動することもできます。
% simvision test.vcd &
**SFL環境 [#jf8b1a22]
PARTHENONの設定として[[こちら>PARTHENONの設定/会津大学WS]]にまとめました。
**Lisp [#c012a417]
emacsで編集する際に、予約語の色付けやインデントなどをしてくれるLispプログラムです。
***Verilog-HDL [#x0863cde]
emacs用のVerilog-HDLのLispの追加方法です。~/.emacs.elに以下を追加してください。
;; verilog
(defun prepend-path ( my-path )
(setq load-path (cons (expand-file-name my-path) load-path)))
(defun append-path ( my-path )
(setq load-path (append load-path (list (expand-file-name my-path)))))
(prepend-path "/home/course/comporg/lisp")
(autoload 'verilog-mode "verilog-mode" "Verilog mode" t )
(setq auto-mode-alist (cons '("\\.v\\'" . verilog-mode) auto-mode-alist))
(add-hook 'verilog-mode-hook '(lambda () (font-look-mode 1)))
***SFL [#uc056efb]
emacs用のSFLのLispの追加方法です。~/.emacs.elに以下を追加してください。
;; sfl
(setq load-path
(cons "/home/grd/m5141119/share/emacs" load-path))
(add-hook 'sfl-mode-hook 'turn-on-font-lock)
(autoload 'sfl-mode "sfl-mode-c" "SFL editing mode" t)
(setq auto-mode-alist (cons (cons "\\.sfl" 'sfl-mode) auto-mode-alist))
終了行:
[[論理回路設計]]
*論理回路設計環境の設定 [#r2a07ebe]
論理回路設計に必要なシミュレータや波形ビューワの設定。~
会津大学ワークステーション環境の設定方法を載せています。
----
#contents
**Verilog-HDLシミュレータ [#s3676c05]
Verilog-HDLシミュレータは、Verilog-HDLで記述した回路の動作をシミュレーションするのに使用します。
***Icarus Verilog [#za0e1eda]
Icarus VerilogはフリーのVerilog-HDLシミュレータで、WindowsマシンやLinuxマシンに簡単に導入することができます。~
会津大学のワークステーションや共有マシンでも使用できます。
-設定方法
パスを通します。~/.cshrcに以下を追加しましょう。
set plathome=`/usr/bin/arch`
switch( $plathome )
case i86pc:
set path=(/home/course/comparch/verilog0.9.3x86/bin $path)
breaksw
case sun4:
set path=(/home/course/comparch/verilog0.9.3sparc/bin $path)
breaksw
endsw
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法~
Icarus Verilogは、Verilog-HDLで記述されたファイル名を引数として渡すことによって、シミュレーション用バイナリを生成し、vvpで実行できます。~
実行例:
% iverilog testbench.v
% vvp a.out
構文エラーなどがあった場合は、エラーがある行数やエラーの内容が表示されます。~
エラーが出ていたら、エラーが出なくなるまで回路を修正しましょう。
-注意事項~
Icarus Verilogにはいくつかのバグが存在します。~
場合によっては正常にシミュレーションできないことがあることが確認されています。
***NC-Verilog [#w627ee24]
NC-VerilogはCadence社が開発したVerilog-HDLシミュレータで、会津大学のワークステーション上で使用できます。
-設定方法~
/home/course/comporg/binにパスを通します。~/.cshrcに以下を追加しましょう。
set path = ($path /home/course/comporg/bin)
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法~
NC-Verilogは、Verilog-HDLで記述されたファイル名を引数として渡すことによって、シミュレーションを実行します。~
実行例:
% ncverilog +ncaccess+rwc testbench.v
構文エラーなどがあった場合は、エラーがある行数やエラーの内容が表示されます。~
エラーが出ていたら、エラーが出なくなるまで回路を修正しましょう。~
オプションの+ncaccess+rwcは必ず指定するようにしてください。
**波形ビューワ [#ubbe1d61]
波形ビューワはシミューレション後に生成されるVCDなどの波形ファイルを表示するツールです。
***GTKWave [#w97ba8dc]
GTKWaveはフリーの波形ビューワで、WindowsマシンやLinuxマシンに簡単に導入することができます。~
会津大学のワークステーションや共有マシンでも使用できます。
-設定方法~
/home/course/comparch/binにパスを通します。~/.cshrcに以下を追加しましょう。
set path = ($path /home/course/comparch/bin)
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法
以下のコマンドで起動できます。
% gtkwave test.vcd &
起動画面は以下のようになります。~
~
CENTER:&ref(gtkwave_1.png);~
~
信号を表示するためには、左のウィンドウからモジュールや信号名を選択し、Appendをクリックしてください。~
~
CENTER:&ref(gtkwave_2.png);
***SimVision [#b7367d32]
SimVisionはCadence社が開発した波形ビューワで、会津大学のワークステーション上で使用できます。
-設定方法~
/home/course/comporg/binにパスを通します。~/.cshrcに以下を追加しましょう。
set path = ($path /home/course/comporg/bin)
追加が終了し~/.cshrcを保存したら、以下のコマンドを実行して~/.cshrcの変更が反映してください。~
source ~/.cshrc
以上で、設定は終了です。
-使用方法~
以下のコマンドで起動できます。
% simvision &
波形ファイルであるVCDファイルを開くためには以下の手順に従ってください。
++"File -> Open Database"を選択する。~
~
CENTER:&ref(sim-02.gif);~
~
++"Open -> Database" を選択し、Files of typeをVCD Filesにして、シミュレーションで生成されたVCDファイルをOpenする。~
~
CENTER:&ref(sim-03.gif);~
~
++確認したい信号名を選んでから右上の波形マークをクリックし、波形を確認する。~
~
CENTER:&ref(sim-04.gif);~
~
以下のようにVCDファイルを引数に指定して起動することもできます。
% simvision test.vcd &
**SFL環境 [#jf8b1a22]
PARTHENONの設定として[[こちら>PARTHENONの設定/会津大学WS]]にまとめました。
**Lisp [#c012a417]
emacsで編集する際に、予約語の色付けやインデントなどをしてくれるLispプログラムです。
***Verilog-HDL [#x0863cde]
emacs用のVerilog-HDLのLispの追加方法です。~/.emacs.elに以下を追加してください。
;; verilog
(defun prepend-path ( my-path )
(setq load-path (cons (expand-file-name my-path) load-path)))
(defun append-path ( my-path )
(setq load-path (append load-path (list (expand-file-name my-path)))))
(prepend-path "/home/course/comporg/lisp")
(autoload 'verilog-mode "verilog-mode" "Verilog mode" t )
(setq auto-mode-alist (cons '("\\.v\\'" . verilog-mode) auto-mode-alist))
(add-hook 'verilog-mode-hook '(lambda () (font-look-mode 1)))
***SFL [#uc056efb]
emacs用のSFLのLispの追加方法です。~/.emacs.elに以下を追加してください。
;; sfl
(setq load-path
(cons "/home/grd/m5141119/share/emacs" load-path))
(add-hook 'sfl-mode-hook 'turn-on-font-lock)
(autoload 'sfl-mode "sfl-mode-c" "SFL editing mode" t)
(setq auto-mode-alist (cons (cons "\\.sfl" 'sfl-mode) auto-mode-alist))
ページ名: