西牧/春のプロジェクト2010/第1回
をテンプレートにして作成
[
トップ
] [
新規
|
一覧
|
単語検索
|
最終更新
|
ヘルプ
|
ログイン
]
開始行:
[[西牧/春のプロジェクト2010]]
-fa.v
module fa(a,b,cin,s,cout);
input a,b,cin;
output s,cout;
assign s = a ^ b ^ cin;
assign cout = (a & b) | (b & cin) | (cin & a);
endmodule // fa
-fa_tb.v
module fa_tb;
reg a,b,cin;
wire s,cout;
parameter S = 100;
fa fa0(.a(a),.b(b),.cin(cin),.s(s),.cout(cout));
initial begin
$dumpfile("fa_tb.vcd");
$dumpvars(0,fa_tb);
#(S*8)
$finish;
end
always begin
a = 0;
#S
a = 1;
#S;
end
always begin
b = 0;
#(S*2)
b = 1;
#(S*2);
end
always begin
cin = 0;
#(S*4)
cin = 1;
#(S*4);
end
initial $monitor(" cin=%b b=%b a=%b s=%b cout=%b",cin,b,a,s,cout);
endmodule // fa_tb
終了行:
[[西牧/春のプロジェクト2010]]
-fa.v
module fa(a,b,cin,s,cout);
input a,b,cin;
output s,cout;
assign s = a ^ b ^ cin;
assign cout = (a & b) | (b & cin) | (cin & a);
endmodule // fa
-fa_tb.v
module fa_tb;
reg a,b,cin;
wire s,cout;
parameter S = 100;
fa fa0(.a(a),.b(b),.cin(cin),.s(s),.cout(cout));
initial begin
$dumpfile("fa_tb.vcd");
$dumpvars(0,fa_tb);
#(S*8)
$finish;
end
always begin
a = 0;
#S
a = 1;
#S;
end
always begin
b = 0;
#(S*2)
b = 1;
#(S*2);
end
always begin
cin = 0;
#(S*4)
cin = 1;
#(S*4);
end
initial $monitor(" cin=%b b=%b a=%b s=%b cout=%b",cin,b,a,s,cout);
endmodule // fa_tb
ページ名: