春のプロジェクト2013
をテンプレートにして作成
[
トップ
] [
新規
|
一覧
|
単語検索
|
最終更新
|
ヘルプ
|
ログイン
]
開始行:
[[勉強会]]
*春プロジェクト2013 [#xb4b033d]
----
-2012年、2〜3月開講の新B3,4向け勉強会
----
#contents
**目的 [#fb429a75]
-研究室に来るのに慣れる
-NSLを使えるようになる
-FPGAへの実装シミュレーション
**活動概要 [#wbdc04c3]
***活動内容 [#oec88c4e]
-NSLを使えるようになる(B3,4共通)
--チュートリアルを一通りこなす
--何かを作成する
--プレゼンテーションに慣れる
-具体的にやること
--NSLチュートリアル(英語・Web版)
--超簡単なCPUのアーキテクチャ(Web版)
--DE2ボードに回路を実際に乗せる
***最終目標 [#wdc91c03]
NSLで何かを作って、DE2ボードに乗せて、プレゼン形式で発表する。
***スケジュール [#f0ef0317]
現在決まっている範囲で。~
|日程|内容|備考|
|2/25|第1回ミーティング|先生、M1による説明|
|2/26|演習|チュートリアル、超簡単な〜を進める|
|2/27|演習||
|2/28|自由|日中に黒田先生の最終講義あり|
|3/1|演習|(課題)NSLコードをB4に解説|
|||「DE2ボードに回路を乗せる」の説明、デモ|
|3/4|演習|OS輪講有|
|3/5|演習||
|3/6|演習||
|3/7|演習||
|3/8|演習|「DE2〜」のデッドライン|
平日の3,4,5限にHdw3等で各自演習(M1等がサポート)~
時間外でも、各自進めてもらって構いません(むしろ推奨)
-2/25〜28 NSLチュートリアル、超簡単なCPUアーキテクチャを勉強
-3/1〜 DE2ボードにNSLで作成した回路を乗せる演習を開始
-3/8 プレゼン形式での自作回路の発表
***活動場所 [#h123263c]
ハードウェア実験室3
-月〜金の3、4、5限
-ミーティングもハードウェア実験室3
-閉まっているときは、研究室まできてください
**使用教材 [#taad0ede]
***NSLチュートリアル [#mf160067]
[[NSLチュートリアルweb>http://www.ip-arch.jp/doc_NSL/kiso1.html]]
--s1170005/NSL_Tutrial/ にチュートリアルの全ファイルを置いたのでコピーして使ってください。
&ref(http://aslweb.u-aizu.ac.jp/aslwiki/index.php?plugin=attach&pcmd=open&file=NSLTUTORIAL.pdf&refer=%BD%D5%A4%CE%A5%D7%A5%ED%A5%B8%A5%A7%A5%AF%A5%C82013,,NSLチュートリアル);
日本語でのPDF資料
***超簡単なCPUアーキテクチャ [#o4f59bfc]
[[超簡単なCPUアーキテクチャ>http://www.algopro.co.jp/sflguide/sfl_g1/new_page_20.htm]]
&ref(http://aslweb.u-aizu.ac.jp/aslwiki/index.php?plugin=attach&pcmd=open&file=CPU.nsl&refer=%BD%D5%A4%CE%A5%D7%A5%ED%A5%B8%A5%A7%A5%AF%A5%C82013,,模範解答);
~模範解答を読んで3月1日(金)のはじめに一人ずつ解説してください。
***DE2ボードへの実装 [#o4f59bfc]
&ref(http://aslweb.u-aizu.ac.jp/aslwiki/index.php?plugin=attach&pcmd=open&file=LED-HEX_demo.zip&refer=%BD%D5%A4%CE%A5%D7%A5%ED%A5%B8%A5%A7%A5%AF%A5%C82013,,LEDと7segのデモ);
~トップモジュール等の参考にしてください。
~DE2ボードを使ってみよう(参考ページ)
-[[第1回 LEDを使ってみよう>春のプロジェクト2012/DE2 第1回]]
-[[第2回 7SEGを使ってみよう>春のプロジェクト2012/DE2 第2回]]
-[[第3回 ステートマシンを実装してみよう>春のプロジェクト2012/DE2 第3回]]
-[[第4回 ステートマシンを設計してみよう>春のプロジェクト2012/DE2 第4回]]
-[[第5回 LEDを点滅させてみよう>春のプロジェクト2012/DE2 第5回]]
**演習環境 [#ue428b23]
***2015年の環境構築[#s8672a70]
****ファイルコピー [#gc0e34f3]
%cp -r ~m5181121/home/AISoC20150210 $HOME/自分の好きなところ
%cp -r ~s1190209/SCCP $HOME/自分の好きなところ
****環境設定スクリプト読み込み [#m0a79233]
学内環境の場合、sshでlinuxにログイン。
このコマンドはログイン時毎回行ってください。
%source /home/SCCP/unixclub/setup/setup_ap1.csh
****作業ディレクトリ [#y5cb49f0]
$HOME/好きなところ/AISoC20150210/SoC/rtl
***シミュレーション方法 [#zc91c1e8]
NC-Verilogは、Verilog-HDLで記述されたファイル名を引数として渡すことによって、シミュレーションを実行します。~
実行例:
% nsl2vl file_name.nsl
% ncverilog file_name.v file_name.main
% simvision file_name.vcd
構文エラーなどがあった場合は、エラーがある行数やエラーの内容が表示されます。~
エラーが出ていたら、エラーが出なくなるまで回路を修正しましょう。~
オプションの+ncaccess+rwcは必ず指定するようにしてください。
***Emacsの設定 [#vd942c62]
このnsl-modeは自作のため所々適当です。
修正できる人は修正してwikiに新しいのをあげてください。
設定例:
% cp ~s1190209/.emacs.d/nsl-mode.el $home/.emacs.d(任意)
emacs.elの最後に以下のコードを記述
(setq load-path
(append
(list
(expand-file-name "~/.emacs.d/")
)
load-path))
;;nsl-mode
(require 'nsl-mode)
(setq auto-mode-alist
(append '(
("\\.nsl$" . nsl-mode)
("\\.inc$" . nsl-mode)
) auto-mode-alist))
***Windows上での環境設定 [#vd942c62]
-
[[Live Cygwin>https://www.dropbox.com/s/bdlpbla05y1hqng/LiveCyg_asl_1.0.zip]]
任意の場所で解凍後→Startupを実行
以下はコマンドライン上で下記の作業を行う。~
上記のLive Cygwinは白井君が手を加えているもので、Emacsも利用できます。
実行例:
% nsl2vl file_name.nsl
% iverilog -o file_name.vvp file_name.v file_name.main
% vvp file_name.vvp
% gtkwave file_name.vcd &
//***Verilog HDL [#reec79d7]
//-「DesignWave連載 基礎から学ぶVerilog HDL & FPGA設計」を使って
//Verilog HDLの入門
//-[[第1回 2007年4月号 全加算器をHDLで設計してみよう>春のプロジェクト2012/第1回]]&ref(春のプロジェクト2009/第1回/SpringProject2009_1.pdf,,PDF);
//-[[第2回 2007年6月号 4ビット加算器を設計しよう>春のプロジェクト2012/第2回]]&ref(春のプロジェクト2009/第2回/SpringProject2009_2.pdf,,PDF);
//-[[第3回 2007年8月号 マルチプレクサと算術論理演算回路>春のプロジェクト2012/第3回]]&ref(春のプロジェクト2009/第3回/SpringProject2009_3.pdf,,PDF);
//-[[第4回 2007年10月号 順序回路の設計フリップフロップとカウンタ>春のプロジェクト2012/第4回]]&ref(春のプロジェクト2009/第4回/SpringProject2009_4.pdf,,PDF);
//-[[第5回 2007年11月号 ステートマシンの設計>春のプロジェクト2012/第5回]]&ref(春のプロジェクト2009/第5回/SpringProject2009_05.pdf,,PDF);
//-[[第6回 2008年1月号 スタックの設計>春のプロジェクト2012/第6回]]&ref(春のプロジェクト2009/第6回/SpringProject2009_06.pdf,,PDF);
//DE2ボードを使ってみよう
//-[[第1回 LEDを使ってみよう>春のプロジェクト2012/DE2 第1回]]
//-[[第2回 7SEGを使ってみよう>春のプロジェクト2012/DE2 第2回]]
//-[[第3回 ステートマシンを実装してみよう>春のプロジェクト2012/DE2 第3回]]
//-[[第4回 ステートマシンを設計してみよう>春のプロジェクト2012/DE2 第4回]]
//-[[第5回 LEDを点滅させてみよう>春のプロジェクト2012/DE2 第5回]]
//TINYCPU設計部
//-[[第9回 2008年7月号 バスと命令フェッチ回路>春のプロジェクト2012/第9回]]&ref(春のプロジェクト2009/第9回/SpringProject2009_09.pdf,,PDF);
//-[[第10回 2008年9月号 CPUの設計(1)基本アーキテクチャの設計>春のプロジェクト2012/第10回]]&ref(春のプロジェクト2009/第10回/SpringProject2009_10.pdf,,PDF);
//-[[第11回 2008年11月号 CPUの設計(2)Verilog HDLによる記述>春のプロジェクト2012/第11回]]&ref(春のプロジェクト2009/第11回/SpringProject2009_11.pdf,,PDF);
//-[[第12回 2008年12月号 CPUの設計(3)FPGAによる動作確認>春のプロジェクト2012/第12回]]&ref(春のプロジェクト2009/第12回/SpringProject2009_12.pdf,,PDF);
//参考部
//-[[第7回 2008年3月号 チャタリング除去回路とLCD制御回路>春のプロジェクト2012/第7回]]&ref(春のプロジェクト2009/第7回/SpringProject2009_07.pdf,,PDF);
//-[[第8回 2008年5月号 分散RAMとブロックRAM>春のプロジェクト2012/第8回]]&ref(春のプロジェクト2009/第8回/SpringProject2009_08.pdf,,PDF);
**連絡用コメント [#m3d63f4b]
ミーティング、定例会議など欠席する場合は下記に書き込んでください。(必ず)
-----
- 2月26, 27日は留学関連のミーティングがあるためお休みします。また、3月3日から24日は海外にいるため行事に参加できません。 -- [[石黒]] &new{2013-02-25 (月) 15:41:07};
- 26日に東京に行くのでお休みします。 -- [[橋本]] &new{2013-02-25 (月) 15:52:05};
- 2月26日は引っ越しのいざこざがあるのでお休みします。 -- [[田中(祐)]] &new{2013-02-25 (月) 18:46:28};
- 帰郷するので3月3日あたりまで参加できません。 -- [[石井]] &new{2013-02-25 (月) 18:47:31};
- すみません。今日は行けるかどうか分かりません。 -- [[田中(真)]] &new{2013-02-27 (水) 13:18:28};
- すいません。今日は耳鼻科に行くので休みます。明日は参加できると思います。 -- [[橋本]] &new{2013-02-28 (木) 15:43:46};
- 帰る足がなくなったので、4日は参加できません -- [[田中(真)]] &new{2013-03-04 (月) 10:14:49};
- 3月5日は東京に行くので参加できません(T_T) -- [[橋本]] &new{2013-03-04 (月) 17:41:17};
- test -- [[ishikuro]] &new{2015-02-23 (月) 13:42:29};
#comment
**サポートメンバー([[メンバー連絡用>春のプロジェクト2013/裏方]] [#g36c87be]
-井戸沼(リーダー)
-大内
-大塚
-小林(このぺーじ)
-白井(NSL)
-長谷川
-遠藤
-佐藤
終了行:
[[勉強会]]
*春プロジェクト2013 [#xb4b033d]
----
-2012年、2〜3月開講の新B3,4向け勉強会
----
#contents
**目的 [#fb429a75]
-研究室に来るのに慣れる
-NSLを使えるようになる
-FPGAへの実装シミュレーション
**活動概要 [#wbdc04c3]
***活動内容 [#oec88c4e]
-NSLを使えるようになる(B3,4共通)
--チュートリアルを一通りこなす
--何かを作成する
--プレゼンテーションに慣れる
-具体的にやること
--NSLチュートリアル(英語・Web版)
--超簡単なCPUのアーキテクチャ(Web版)
--DE2ボードに回路を実際に乗せる
***最終目標 [#wdc91c03]
NSLで何かを作って、DE2ボードに乗せて、プレゼン形式で発表する。
***スケジュール [#f0ef0317]
現在決まっている範囲で。~
|日程|内容|備考|
|2/25|第1回ミーティング|先生、M1による説明|
|2/26|演習|チュートリアル、超簡単な〜を進める|
|2/27|演習||
|2/28|自由|日中に黒田先生の最終講義あり|
|3/1|演習|(課題)NSLコードをB4に解説|
|||「DE2ボードに回路を乗せる」の説明、デモ|
|3/4|演習|OS輪講有|
|3/5|演習||
|3/6|演習||
|3/7|演習||
|3/8|演習|「DE2〜」のデッドライン|
平日の3,4,5限にHdw3等で各自演習(M1等がサポート)~
時間外でも、各自進めてもらって構いません(むしろ推奨)
-2/25〜28 NSLチュートリアル、超簡単なCPUアーキテクチャを勉強
-3/1〜 DE2ボードにNSLで作成した回路を乗せる演習を開始
-3/8 プレゼン形式での自作回路の発表
***活動場所 [#h123263c]
ハードウェア実験室3
-月〜金の3、4、5限
-ミーティングもハードウェア実験室3
-閉まっているときは、研究室まできてください
**使用教材 [#taad0ede]
***NSLチュートリアル [#mf160067]
[[NSLチュートリアルweb>http://www.ip-arch.jp/doc_NSL/kiso1.html]]
--s1170005/NSL_Tutrial/ にチュートリアルの全ファイルを置いたのでコピーして使ってください。
&ref(http://aslweb.u-aizu.ac.jp/aslwiki/index.php?plugin=attach&pcmd=open&file=NSLTUTORIAL.pdf&refer=%BD%D5%A4%CE%A5%D7%A5%ED%A5%B8%A5%A7%A5%AF%A5%C82013,,NSLチュートリアル);
日本語でのPDF資料
***超簡単なCPUアーキテクチャ [#o4f59bfc]
[[超簡単なCPUアーキテクチャ>http://www.algopro.co.jp/sflguide/sfl_g1/new_page_20.htm]]
&ref(http://aslweb.u-aizu.ac.jp/aslwiki/index.php?plugin=attach&pcmd=open&file=CPU.nsl&refer=%BD%D5%A4%CE%A5%D7%A5%ED%A5%B8%A5%A7%A5%AF%A5%C82013,,模範解答);
~模範解答を読んで3月1日(金)のはじめに一人ずつ解説してください。
***DE2ボードへの実装 [#o4f59bfc]
&ref(http://aslweb.u-aizu.ac.jp/aslwiki/index.php?plugin=attach&pcmd=open&file=LED-HEX_demo.zip&refer=%BD%D5%A4%CE%A5%D7%A5%ED%A5%B8%A5%A7%A5%AF%A5%C82013,,LEDと7segのデモ);
~トップモジュール等の参考にしてください。
~DE2ボードを使ってみよう(参考ページ)
-[[第1回 LEDを使ってみよう>春のプロジェクト2012/DE2 第1回]]
-[[第2回 7SEGを使ってみよう>春のプロジェクト2012/DE2 第2回]]
-[[第3回 ステートマシンを実装してみよう>春のプロジェクト2012/DE2 第3回]]
-[[第4回 ステートマシンを設計してみよう>春のプロジェクト2012/DE2 第4回]]
-[[第5回 LEDを点滅させてみよう>春のプロジェクト2012/DE2 第5回]]
**演習環境 [#ue428b23]
***2015年の環境構築[#s8672a70]
****ファイルコピー [#gc0e34f3]
%cp -r ~m5181121/home/AISoC20150210 $HOME/自分の好きなところ
%cp -r ~s1190209/SCCP $HOME/自分の好きなところ
****環境設定スクリプト読み込み [#m0a79233]
学内環境の場合、sshでlinuxにログイン。
このコマンドはログイン時毎回行ってください。
%source /home/SCCP/unixclub/setup/setup_ap1.csh
****作業ディレクトリ [#y5cb49f0]
$HOME/好きなところ/AISoC20150210/SoC/rtl
***シミュレーション方法 [#zc91c1e8]
NC-Verilogは、Verilog-HDLで記述されたファイル名を引数として渡すことによって、シミュレーションを実行します。~
実行例:
% nsl2vl file_name.nsl
% ncverilog file_name.v file_name.main
% simvision file_name.vcd
構文エラーなどがあった場合は、エラーがある行数やエラーの内容が表示されます。~
エラーが出ていたら、エラーが出なくなるまで回路を修正しましょう。~
オプションの+ncaccess+rwcは必ず指定するようにしてください。
***Emacsの設定 [#vd942c62]
このnsl-modeは自作のため所々適当です。
修正できる人は修正してwikiに新しいのをあげてください。
設定例:
% cp ~s1190209/.emacs.d/nsl-mode.el $home/.emacs.d(任意)
emacs.elの最後に以下のコードを記述
(setq load-path
(append
(list
(expand-file-name "~/.emacs.d/")
)
load-path))
;;nsl-mode
(require 'nsl-mode)
(setq auto-mode-alist
(append '(
("\\.nsl$" . nsl-mode)
("\\.inc$" . nsl-mode)
) auto-mode-alist))
***Windows上での環境設定 [#vd942c62]
-
[[Live Cygwin>https://www.dropbox.com/s/bdlpbla05y1hqng/LiveCyg_asl_1.0.zip]]
任意の場所で解凍後→Startupを実行
以下はコマンドライン上で下記の作業を行う。~
上記のLive Cygwinは白井君が手を加えているもので、Emacsも利用できます。
実行例:
% nsl2vl file_name.nsl
% iverilog -o file_name.vvp file_name.v file_name.main
% vvp file_name.vvp
% gtkwave file_name.vcd &
//***Verilog HDL [#reec79d7]
//-「DesignWave連載 基礎から学ぶVerilog HDL & FPGA設計」を使って
//Verilog HDLの入門
//-[[第1回 2007年4月号 全加算器をHDLで設計してみよう>春のプロジェクト2012/第1回]]&ref(春のプロジェクト2009/第1回/SpringProject2009_1.pdf,,PDF);
//-[[第2回 2007年6月号 4ビット加算器を設計しよう>春のプロジェクト2012/第2回]]&ref(春のプロジェクト2009/第2回/SpringProject2009_2.pdf,,PDF);
//-[[第3回 2007年8月号 マルチプレクサと算術論理演算回路>春のプロジェクト2012/第3回]]&ref(春のプロジェクト2009/第3回/SpringProject2009_3.pdf,,PDF);
//-[[第4回 2007年10月号 順序回路の設計フリップフロップとカウンタ>春のプロジェクト2012/第4回]]&ref(春のプロジェクト2009/第4回/SpringProject2009_4.pdf,,PDF);
//-[[第5回 2007年11月号 ステートマシンの設計>春のプロジェクト2012/第5回]]&ref(春のプロジェクト2009/第5回/SpringProject2009_05.pdf,,PDF);
//-[[第6回 2008年1月号 スタックの設計>春のプロジェクト2012/第6回]]&ref(春のプロジェクト2009/第6回/SpringProject2009_06.pdf,,PDF);
//DE2ボードを使ってみよう
//-[[第1回 LEDを使ってみよう>春のプロジェクト2012/DE2 第1回]]
//-[[第2回 7SEGを使ってみよう>春のプロジェクト2012/DE2 第2回]]
//-[[第3回 ステートマシンを実装してみよう>春のプロジェクト2012/DE2 第3回]]
//-[[第4回 ステートマシンを設計してみよう>春のプロジェクト2012/DE2 第4回]]
//-[[第5回 LEDを点滅させてみよう>春のプロジェクト2012/DE2 第5回]]
//TINYCPU設計部
//-[[第9回 2008年7月号 バスと命令フェッチ回路>春のプロジェクト2012/第9回]]&ref(春のプロジェクト2009/第9回/SpringProject2009_09.pdf,,PDF);
//-[[第10回 2008年9月号 CPUの設計(1)基本アーキテクチャの設計>春のプロジェクト2012/第10回]]&ref(春のプロジェクト2009/第10回/SpringProject2009_10.pdf,,PDF);
//-[[第11回 2008年11月号 CPUの設計(2)Verilog HDLによる記述>春のプロジェクト2012/第11回]]&ref(春のプロジェクト2009/第11回/SpringProject2009_11.pdf,,PDF);
//-[[第12回 2008年12月号 CPUの設計(3)FPGAによる動作確認>春のプロジェクト2012/第12回]]&ref(春のプロジェクト2009/第12回/SpringProject2009_12.pdf,,PDF);
//参考部
//-[[第7回 2008年3月号 チャタリング除去回路とLCD制御回路>春のプロジェクト2012/第7回]]&ref(春のプロジェクト2009/第7回/SpringProject2009_07.pdf,,PDF);
//-[[第8回 2008年5月号 分散RAMとブロックRAM>春のプロジェクト2012/第8回]]&ref(春のプロジェクト2009/第8回/SpringProject2009_08.pdf,,PDF);
**連絡用コメント [#m3d63f4b]
ミーティング、定例会議など欠席する場合は下記に書き込んでください。(必ず)
-----
- 2月26, 27日は留学関連のミーティングがあるためお休みします。また、3月3日から24日は海外にいるため行事に参加できません。 -- [[石黒]] &new{2013-02-25 (月) 15:41:07};
- 26日に東京に行くのでお休みします。 -- [[橋本]] &new{2013-02-25 (月) 15:52:05};
- 2月26日は引っ越しのいざこざがあるのでお休みします。 -- [[田中(祐)]] &new{2013-02-25 (月) 18:46:28};
- 帰郷するので3月3日あたりまで参加できません。 -- [[石井]] &new{2013-02-25 (月) 18:47:31};
- すみません。今日は行けるかどうか分かりません。 -- [[田中(真)]] &new{2013-02-27 (水) 13:18:28};
- すいません。今日は耳鼻科に行くので休みます。明日は参加できると思います。 -- [[橋本]] &new{2013-02-28 (木) 15:43:46};
- 帰る足がなくなったので、4日は参加できません -- [[田中(真)]] &new{2013-03-04 (月) 10:14:49};
- 3月5日は東京に行くので参加できません(T_T) -- [[橋本]] &new{2013-03-04 (月) 17:41:17};
- test -- [[ishikuro]] &new{2015-02-23 (月) 13:42:29};
#comment
**サポートメンバー([[メンバー連絡用>春のプロジェクト2013/裏方]] [#g36c87be]
-井戸沼(リーダー)
-大内
-大塚
-小林(このぺーじ)
-白井(NSL)
-長谷川
-遠藤
-佐藤
ページ名: