三浦/メモ
をテンプレートにして作成
[
トップ
] [
新規
|
一覧
|
単語検索
|
最終更新
|
ヘルプ
|
ログイン
]
開始行:
[[三浦]]
*Paper writing [#y0cd22cf]
基本的なpaperの構造。メモと記憶を基に書いていますので、助言があればお願いします。
**1. Introduction [#k27988df]
-Back graund
--技術の流行やその論文の存在意義。
-Problem
***追記 [#j08f9cc2]
-refは3つくらい。~
内容は、例えば
--[1]ではこのようなmethodを行った。
--その結果このようになった。
--この論文ではこのような問題がある。
--自分の論文ではこれを参考にし、この部分を改良し、結果こうなった。~
のような感じで。
**2. Method [#ea2ee744]
-Architecture of your method
-Solution in detail
**3. Result [#g5daa090]
-Estimation
-Area, power, speed, size, and anything
**4. Conclusion [#e683db71]
-まとめ
-Future work
*Latex [#v8c86f30]
- figure*
*Windows [#jde16ffd]
Shift + F10 右クリックメニューを表示
**システム [#kcaf47f4]
***Beep音消去。 [#l7db3e68]
-端末等でよく鳴らすのでうるさい。
--1. スタートメニューにある「ファイル名を指定して実行」を選択し、「compmgmt.msc」と入力して「コンピュータの管理」を起動します。次に「デバイスマネージャ」をクリックします。メニューの「表示」−「非表示のデバイスの表示」を選択します。
--2. 「プラグ アンド プレイではないドライバ」にある「Beep」をダブルクリックします。
--3. 「Beepのプロパティ」が表示されたら、「現在の状態」にある「停止」ボタンを押します。「スタートアップ」の「種類」も「システム」から「無効」に変更してOKボタンを押してください。これでビープ音は無効にります。
--詳しくは[[ここ>http://softwarefactory.jp/japan/pctips/windows/WIN0001.html]]で。
**フリーアプリ [#yf958cb3]
***lmouse [#y3dd2871]
-マウスを左利き用にしてくれる.
-要スタートアップ。
***AutoHotKey [#qfffee09]
-http://www.autohotkey.com/
***pera pera prv [#yb9ec726]
-Twitterクライアント。
-Ctl + 9 と Crl + 8
-Ctl j と Ctl u
***Change key [#sf955a0e]
-キー配列変更。
-左winをESCに変更(metaキー使用)
**アドオン [#r9a4427d]
***LoL [#q89fe0db]
-Hit-a-Hintの現行版みたいなもの。
-キーボードでのブラウジングが可能に。
***keyconfig [#g0f30626]
-firefoxでのショートカット等の設定。
-ブックマークの新しいタブへの表示。~
if(window.loadURI) getBrowser().selectedTab = getBrowser().addTab('KEYWORD or URL');
--KEYWORDはブックマークのプロパティから設定可能。
**keyboard [#bdf1f222]
key設定の変更。~
1) デスクトップ下のタスクバーの右にある言語バーを右クリック。~
2) 「設定」を選択。~
3) 「設定」タブ内で使用している入力言語のプロパティを開く(自分はMicrosof Office IME 2007)~
4) 「全般」タブ内の編集操作のキー設定の変更のボタンをクリック
5) 各操作に適応しているキーを追加、変更する。~
英字キ−ボードの日本語切り替えをemacs風に。~
1) 「入力/変更済み文字なし」の欄にある「IME-オン/オフ」を選択。~
2) 「キーの追加」もしくは「キーの変更」をクリック。~
3) 新しく設定したいキーを押す。ここでは「Cntrl+\」。そして決定。~
左AltをApplication keyに変更。~
*PowerPoint [#p69adae1]
**指数の表記 [#s1e954ba]
-2の2乗を表したい時。
--「22」の後ろの2を反転して右クリック→フォント→「文字飾り」の上付き
**図を直接EPS化 [#i9f0f653]
-プリンタの準備から色々。
--http://keijisaito.info/arc/tex/v_eps_printer.htm
-コントロールパネルからプリンタとFAX。
-左側にある「プリンタのインストール」からインストール。
-インスト後、新しくインストしたアイコンを右クリック→「印刷設定」→「詳細設定」→「PostScriptオプション」→「PostScript出力オプション」でEPSを設定
*Open Office [#m521c920]
**Impress [#be99bb81]
画像作成~
1) 図形を範囲で囲む。~
2) 右クリックで「グループ化」。~
3)「メニュー」から「エクスポート」選択。~
4)「選択範囲」の項目をチェック。~
5) 拡張子を選びエクスポート。~
*Verilog HDL [#nce41fa6]
parameter a = 5;~
と定義され、~
a[1:0];~
という表記があったら、これは 5 (4'b0101)の下位2bitを示すので、~
a[1:0] = 1(4'b0001);~
となる。~
{(a){1'b1}} = 5'h1F; (= 5'b11111)~
5'b11_111;~
**PLI(VPI) [#le534e20]
-gcc -fPIC -I/usr/local/cadence/IUS5.6/tools/include -c hello.c
-gcc hello.o -o libvpi.so --shared
-ncverilog +loadvpi=./libvpi:hello_register hello.v
**ncverilog [#e4e3f0f0]
-ncverilog +ncaccess+rwc testbench.v
--http://www.tc.knct.ac.jp/~oota-i/Product_Manual/NC_Veri_H/main.html
VCDファイル生成
-$dumpfile("sample_find_max.vcd");
-$dumpvars( 0,top );
--http://www.tc.knct.ac.jp/~oota-i/Product_Manual/Veri_Ref/small/chap20-3-5-8.html
-clkとrstのタイミングを同期させるのはあまりよくない?
--シミュレータによっては誤動作が起こる?
{(a){1'b1}} = 5'h1F; (= 5'b11111)~
ncverilogでは使えない?
**FPGA [#ra7fc6f9]
-Family : Stratix III
-Target device : Specific device ...
-Show in 'Available device' list
--Package: FBGA
--Pin count: 1152
--Speed grade: 2
--"check" show advanced devices
-Available devices: EP3SL150F1152C2
**Quartus II [#g07740d6]
-ライセンスが無効の時、PCの時間が大幅にずれている可能性有。
-Setting up the In-System Memory Content Editor
--megacore functionでの生成時にIn-System Memory Content Editor to capture and update content independently of the system clockにチェックを入れる。
--http://quartushelp.altera.com/10.0/master.htm#mergedProjects/program/red/red_pro_open_editor.htm
--内部RAMを合成する際にエラーとなる問題の解消方法
---プロジェクト名.qsfの適当な位置に以下の内容を追加
---set_parameter -name CYCLONEII_SAFE_WRITE "\"RESTRUCTURE\""
---前田君ありがとう。
---http://www.google.co.jp/search?hl=ja&safe=off&client=firefox-a&hs=k9q&rls=org.mozilla%3Aja%3Aofficial&q=altera+In-System+Memory+Content+Editor&btnG=%E6%A4%9C%E7%B4%A2&aq=f&aqi=&aql=&oq=&gs_rfai=
**SOPC Builder [#w430b318]
-IP componentの登録。
--要パス登録。
**Nios [#vadcb7b3]
-キャッシュを積んでいるプロセッサでMemory-Map I/Oの場合、注意が必要。
--I/Oアクセスの時、キャッシュを挟んでいる可能性がある。数サイクル遅れる。リアルタイムシステムでは致命的。
--方法としてI/Oのアドレスをキャッシュ・バイパスする方法(一定のアドレスへのアクセス時に、キャッシュを通さない)。
--http://www.altera.co.jp/literature/hb/nios2/n2cpu_nii51002_j.pdfのP14
***timer [#p133fbbf]
-Nios IDE
--Check "Program never exit", "Clean exit" and "Reduced device drivers", and uncheck "Support C++"
*C [#d6d1ddc7]
-double型の入力には %lf .
*Windows環境 [#wf65ec59]
マシンを交換したのでいろいろ入れ直した。
-Firefox
-Quartus II
-NiosII IDE
-ModelSim-Altera
-Altera University Program IP core
-Putty
-左マウス
-Lhaplus
-xkeymacs
-Pera Pera Prv
-bluewind
-WinSCP
-teraterm
-xming
-EPS-conv
----
#comment
終了行:
[[三浦]]
*Paper writing [#y0cd22cf]
基本的なpaperの構造。メモと記憶を基に書いていますので、助言があればお願いします。
**1. Introduction [#k27988df]
-Back graund
--技術の流行やその論文の存在意義。
-Problem
***追記 [#j08f9cc2]
-refは3つくらい。~
内容は、例えば
--[1]ではこのようなmethodを行った。
--その結果このようになった。
--この論文ではこのような問題がある。
--自分の論文ではこれを参考にし、この部分を改良し、結果こうなった。~
のような感じで。
**2. Method [#ea2ee744]
-Architecture of your method
-Solution in detail
**3. Result [#g5daa090]
-Estimation
-Area, power, speed, size, and anything
**4. Conclusion [#e683db71]
-まとめ
-Future work
*Latex [#v8c86f30]
- figure*
*Windows [#jde16ffd]
Shift + F10 右クリックメニューを表示
**システム [#kcaf47f4]
***Beep音消去。 [#l7db3e68]
-端末等でよく鳴らすのでうるさい。
--1. スタートメニューにある「ファイル名を指定して実行」を選択し、「compmgmt.msc」と入力して「コンピュータの管理」を起動します。次に「デバイスマネージャ」をクリックします。メニューの「表示」−「非表示のデバイスの表示」を選択します。
--2. 「プラグ アンド プレイではないドライバ」にある「Beep」をダブルクリックします。
--3. 「Beepのプロパティ」が表示されたら、「現在の状態」にある「停止」ボタンを押します。「スタートアップ」の「種類」も「システム」から「無効」に変更してOKボタンを押してください。これでビープ音は無効にります。
--詳しくは[[ここ>http://softwarefactory.jp/japan/pctips/windows/WIN0001.html]]で。
**フリーアプリ [#yf958cb3]
***lmouse [#y3dd2871]
-マウスを左利き用にしてくれる.
-要スタートアップ。
***AutoHotKey [#qfffee09]
-http://www.autohotkey.com/
***pera pera prv [#yb9ec726]
-Twitterクライアント。
-Ctl + 9 と Crl + 8
-Ctl j と Ctl u
***Change key [#sf955a0e]
-キー配列変更。
-左winをESCに変更(metaキー使用)
**アドオン [#r9a4427d]
***LoL [#q89fe0db]
-Hit-a-Hintの現行版みたいなもの。
-キーボードでのブラウジングが可能に。
***keyconfig [#g0f30626]
-firefoxでのショートカット等の設定。
-ブックマークの新しいタブへの表示。~
if(window.loadURI) getBrowser().selectedTab = getBrowser().addTab('KEYWORD or URL');
--KEYWORDはブックマークのプロパティから設定可能。
**keyboard [#bdf1f222]
key設定の変更。~
1) デスクトップ下のタスクバーの右にある言語バーを右クリック。~
2) 「設定」を選択。~
3) 「設定」タブ内で使用している入力言語のプロパティを開く(自分はMicrosof Office IME 2007)~
4) 「全般」タブ内の編集操作のキー設定の変更のボタンをクリック
5) 各操作に適応しているキーを追加、変更する。~
英字キ−ボードの日本語切り替えをemacs風に。~
1) 「入力/変更済み文字なし」の欄にある「IME-オン/オフ」を選択。~
2) 「キーの追加」もしくは「キーの変更」をクリック。~
3) 新しく設定したいキーを押す。ここでは「Cntrl+\」。そして決定。~
左AltをApplication keyに変更。~
*PowerPoint [#p69adae1]
**指数の表記 [#s1e954ba]
-2の2乗を表したい時。
--「22」の後ろの2を反転して右クリック→フォント→「文字飾り」の上付き
**図を直接EPS化 [#i9f0f653]
-プリンタの準備から色々。
--http://keijisaito.info/arc/tex/v_eps_printer.htm
-コントロールパネルからプリンタとFAX。
-左側にある「プリンタのインストール」からインストール。
-インスト後、新しくインストしたアイコンを右クリック→「印刷設定」→「詳細設定」→「PostScriptオプション」→「PostScript出力オプション」でEPSを設定
*Open Office [#m521c920]
**Impress [#be99bb81]
画像作成~
1) 図形を範囲で囲む。~
2) 右クリックで「グループ化」。~
3)「メニュー」から「エクスポート」選択。~
4)「選択範囲」の項目をチェック。~
5) 拡張子を選びエクスポート。~
*Verilog HDL [#nce41fa6]
parameter a = 5;~
と定義され、~
a[1:0];~
という表記があったら、これは 5 (4'b0101)の下位2bitを示すので、~
a[1:0] = 1(4'b0001);~
となる。~
{(a){1'b1}} = 5'h1F; (= 5'b11111)~
5'b11_111;~
**PLI(VPI) [#le534e20]
-gcc -fPIC -I/usr/local/cadence/IUS5.6/tools/include -c hello.c
-gcc hello.o -o libvpi.so --shared
-ncverilog +loadvpi=./libvpi:hello_register hello.v
**ncverilog [#e4e3f0f0]
-ncverilog +ncaccess+rwc testbench.v
--http://www.tc.knct.ac.jp/~oota-i/Product_Manual/NC_Veri_H/main.html
VCDファイル生成
-$dumpfile("sample_find_max.vcd");
-$dumpvars( 0,top );
--http://www.tc.knct.ac.jp/~oota-i/Product_Manual/Veri_Ref/small/chap20-3-5-8.html
-clkとrstのタイミングを同期させるのはあまりよくない?
--シミュレータによっては誤動作が起こる?
{(a){1'b1}} = 5'h1F; (= 5'b11111)~
ncverilogでは使えない?
**FPGA [#ra7fc6f9]
-Family : Stratix III
-Target device : Specific device ...
-Show in 'Available device' list
--Package: FBGA
--Pin count: 1152
--Speed grade: 2
--"check" show advanced devices
-Available devices: EP3SL150F1152C2
**Quartus II [#g07740d6]
-ライセンスが無効の時、PCの時間が大幅にずれている可能性有。
-Setting up the In-System Memory Content Editor
--megacore functionでの生成時にIn-System Memory Content Editor to capture and update content independently of the system clockにチェックを入れる。
--http://quartushelp.altera.com/10.0/master.htm#mergedProjects/program/red/red_pro_open_editor.htm
--内部RAMを合成する際にエラーとなる問題の解消方法
---プロジェクト名.qsfの適当な位置に以下の内容を追加
---set_parameter -name CYCLONEII_SAFE_WRITE "\"RESTRUCTURE\""
---前田君ありがとう。
---http://www.google.co.jp/search?hl=ja&safe=off&client=firefox-a&hs=k9q&rls=org.mozilla%3Aja%3Aofficial&q=altera+In-System+Memory+Content+Editor&btnG=%E6%A4%9C%E7%B4%A2&aq=f&aqi=&aql=&oq=&gs_rfai=
**SOPC Builder [#w430b318]
-IP componentの登録。
--要パス登録。
**Nios [#vadcb7b3]
-キャッシュを積んでいるプロセッサでMemory-Map I/Oの場合、注意が必要。
--I/Oアクセスの時、キャッシュを挟んでいる可能性がある。数サイクル遅れる。リアルタイムシステムでは致命的。
--方法としてI/Oのアドレスをキャッシュ・バイパスする方法(一定のアドレスへのアクセス時に、キャッシュを通さない)。
--http://www.altera.co.jp/literature/hb/nios2/n2cpu_nii51002_j.pdfのP14
***timer [#p133fbbf]
-Nios IDE
--Check "Program never exit", "Clean exit" and "Reduced device drivers", and uncheck "Support C++"
*C [#d6d1ddc7]
-double型の入力には %lf .
*Windows環境 [#wf65ec59]
マシンを交換したのでいろいろ入れ直した。
-Firefox
-Quartus II
-NiosII IDE
-ModelSim-Altera
-Altera University Program IP core
-Putty
-左マウス
-Lhaplus
-xkeymacs
-Pera Pera Prv
-bluewind
-WinSCP
-teraterm
-xming
-EPS-conv
----
#comment
ページ名: